【答案】STD_LOGIC_UNSIGNED和STD_LOGIC_SIGNED程序包的区别是,STD_LOGIC_SIGNED中

返回首页智慧树时间:2022-11-16 13:31
题目 / 答案提交正确答案

STD_LOGIC_UNSIGNED和STD_LOGIC_SIGNED程序包的区别是,STD_LOGIC_SIGNED中定义的运算符考虑到了符号,是有符号数的运算,而STD_LOGIC_UNSIGNED则正好相反。

A.正确

B.错误

正确答案:A

本文链接:https://www.oyuuu.com/Ti/ZhiHuiShu/361761.html

关键字  浏览量:
上一篇:STANDARD程序包中定义了许多基本的数据类型、子类型和函数,该程序包可以不用USE语句另作声明。
下一篇:已知标号为U1的元件MYNAND2的输入端口为A和B,输出端口为Y,现要用MYNAND2例化产生一个新的系统(A1、B1
相关问题